电工基础

电工基础知识_电工技术-电工最常见电路

信号源的使用方法

时间:2016-03-05 10:33来源:未知 作者:y930712 点击:
在电子测试和测量中,经常要求信号源,生成只有在外部提供时才会有的信号。信号源可以提供已知良好的信号,或者在其提供的信号中添加可重复的数量和类型已知的失真(或误码)。


  在电子测试和测量中,经常要求信号源,生成只有在外部提供时才会有的信号。信号源可以提供“已知良好”的信号,或者在其提供的信号中添加可重复的数量和类型已知的失真(或误码)。这是信号源最大的特点之一,因为仅使用电路本身,通常不可能恰好在需要的时间和地点创建可预测的失真。从设计检验到检定,从极限和余量测试到一致性测试,信号源可以用于数百种应用。
  因此,有多种信号源结构可供选择也就不足为奇了,而每种结构都有各自的优点、功能和经济性,适用于特定的用途。在本文中,我们将比较两种信号发生结构:一种用于任意波形/ 函数发生器中,一种用于任意波形发生器中。选择结果在很大程度上取决于应用。
了解信号发生方法
  任意波形/ 函数发生器(AFG)通过读取内存的内容,来同时创建函数波形和任意波形。大多数现代AFG 采用直接信号合成(DDS)技术,在广泛的频率范围上提供信号。
  任意波形发生器(AWG)基于真正可变时钟结构(通常称为" 真正的arbs*1"),适用于在所有频率上生成比较复杂的波形。AWG 也读取内存的内容,但其读取方式不同(后面进行了介绍)。处理先进通信和计算单元的设计人员选择AWG,驱动采用复杂调制和带有异常事件的高速信号。结果,AWG 占据了研究、开发和工程应用的最高层。
  这两种结构在波形生成方法上有着很大差异。本技术简
介讨论了基于可变时钟的任意波形发生器和基于DDS的任意波形/ 函数发生器之间的差别。
透过前面板:比较两个平台
AWG:概念简单,灵活性最大
  尽管AWG 在这两种结构中更加灵活,但AWG 的底层波形生成技术非常简明。AWG的播放方案可以视为“反向取样”。
  这是什么意思呢?看一下信号取样平台-- 示波器,它通过在连续时点上数字化模拟信号的电压值,来采集波形,其取样频率取决于用户选择的时钟速率。得到的样点存储在内存中。

  AWG的流程相反。AWG开始时波形已经在内存中。波形占用指定数量的内存位置。在每个时钟周期中,仪器从内存中输出另一个波形样点。由于代表波形的样点数量是固定的,因此时钟速率越快,读取内存中波形数据点的速度越快,输出频率越高。换句话说,输出信号频率完全取决于时钟频率和内存中的波形样点数量*2。图1 中简化的方框图概括了AWG 结构。
  AWG 的灵活性源自其内存中存储的波形。波形可以采取任何形状;它可以有任意数量的畸变,或根本没有畸变。在基于PC 的工具的帮助下,用户可以开发人们想得到的几乎任何波形(在物理限制内!)。可以在仪器能够生成的任何时钟频率上,从内存中读取样点。不管时钟是以1 MHz运行还是以1 GHz运行,波形的形状相同。 (责任编辑:admin)

织梦二维码生成器
相关文章
------分隔线----------------------------
栏目列表
推荐内容