电工基础

电工基础知识_电工技术-电工最常见电路

8路LED指示灯如何实现交替亮灭

时间:2019-12-16 18:12来源:未知 作者:admin 点击:
如何使用verilog编写一个程序,实现8路LED指示灯每次4路灯亮、4路灯灭,且实现交替亮灭。这个问题对于会verilog编程的人来说很简单,将8路LED灯平均分为两组,每组4路,通过程序控制其

如何使用verilog编写一个程序,实现8路LED指示灯每次4路灯亮、4路灯灭,且实现交替亮灭。这个问题对于会verilog编程的人来说很简单,将8路LED灯平均分为两组,每组4路,通过程序控制其中一组亮时,另一组灭,然后延时亮灭交换,重复循环即可。
实例设计
输入信号2个:一个使能信号EN,低电平有效,当EN=1时,8路LED灯都不工作(不亮),当EN=0时,使能,8路LED灯交替变换;一个时钟信号CLK,用于计数延时。
输出信号2个:A、B,用于控制两组LED灯的亮灭。
确定了输入输出信号之后,设计模块框架符号,如下图所示:

8路LED指示灯如何实现交替亮灭
模块控制程序如下: (责任编辑:admin)
织梦二维码生成器
相关文章
------分隔线----------------------------
栏目列表
推荐内容